Home Computer Hardware Site Map counter

Intels Flops

Seit mehr als 20 Jahren verdient sich Intel im PC Geschäft eine goldene Nase. Auch wenn AMD bei Privatkunden mittlerweile zwischen 20-30 % des Marktes erobert hat, verdient Intel durch seinen höheren Marktanteil vor allem bei Firmenkunden und Hochpreisigen Systemen besser. Intel ist sich der Abhängigkeit von einem Produkt bewusst und hat deswegen nicht nur in andere Geschäfte (Chipsätze, Grafikkarten, ARM Prozessoren) investiert, sondern auch in der Vergangenheit mehrfach versucht aus dieser Abhängigkeit zu entkommen. Dieser Artikel handelt von den Unternehmungen Intels bei den Prozessoren, die nicht glückten.

Intel 4004 und 8008

Intel 4004Die Geschichte von der Entstehung des Intel 4004 ist legendär und soll an dieser Stelle erneut erzählt werden. Das besondere an dem Chip ist der Mikrocode. Das bedeutet, dass die Verdrahtung von Eingangssignalen nicht festgelegt ist, sondern von einem kleinen Programm, dem Mikrocode durchgeführt wird. Das erlaubt einfache Änderungen des Chipdesigns, es erhöht aber auch die Flexibilität des Chips. Dies war die wichtigste Erfindung von Intel bei diesem Prozessor.

Intel entdeckte den Nutzen des Mikrocodes mehr durch Zufall: Die Firma sollte die Elektronik für einen Tischrechner (einen Taschenrechner mit Drucker) der japanischen Firma Busicom fertigen. Man erkannte das eine feste Verdrahtung (Wenn man Auf Taste X drückt passiert folgendes in der Elektronik) mit der zur Verfügung stehenden Technik, nicht auf einem Chip unterzubringen war. Es war einfach zu komplex. Man entschied sich daher auf eine andere Lösung. Ein Tastendruck löste das Absenden mehrerer einfacher Befehlsfolgen aus, die wiederum einfach genug waren, um vom Chip verarbeitet zu werden. Überdies ähnelten sich auch viele Aufgaben. Bei den Ziffertasten 0..9 muss man zuerst nur eine Zahl abspeichern und ausgeben, zwar jedes Mal eine andere, aber sonst war die Aufgabe gleich.

Was Intel damit geschaffen hatte merkten Sie erst, als der Auftrag storniert wurde - Sie mussten nun nach einer anderen Verwendung suchen. Und sie entdeckten, das Sie keinen Tischrechnerchip geschaffen hatten, sondern einen Chip, der so tat als wäre er ein Tischrechner. Mit einem anderen Programm hätte er eine Ampel steuern können oder andere Dinge erledigen können. Intel kaufte die Rechte für 55.000 USD zurück. Dies gelang, weil Busicom mittlerweile in ernsten Zahlungsschwierigkeiten war. Intel vertrieb den Prozessor als Intel 4004 - Einen 4 Bit Prozessor mit 4 KByte Adressraum.

Soweit ist die Geschichte allgemein bekannt. Doch was weniger bekannt ist, dass Intel nur 100.000 Chips zu je 200 USD absetzen konnte. Der Grund war, dass Intel nur Prozessorhersteller war. Er lieferten den Prozessor, doch kein System. Kunden von Intel waren also wiederum große Hersteller die den Intel 4004 in Tischrechner, Telefone oder Ampeln einbauten, ein ROM mit einem Programm erstellten und eine Platine anfertigten.

Intel 8008Sehr bald gab es Konkurrenz. Schon 1972 von Rockwell, National Semiconductor und vor allem Texas Instruments. Deren TMS-1000 Prozessor erschien in der ersten Fassung 1972. Er war wie Intel ein 4 Bit Prozessor. Jedoch war TI kein reiner Prozessorhersteller sondern ein Konzern, der auch elektronische Geräte herstellte. TI baute den TMS 1000 in Taschenrechner aller Art ein und konnte als Hersteller des Prozessors die Preise diktieren. Sehr bald dominierte Ti den Markt und viele andere Hersteller von Tischrechnern gingen bankrott. Unter den Opfern waren auch zwei spätere PC Pioniere: Jack Tramiel und Ed Roberts.

Damit stieg die Stückzahl und der TMS-1000 wurde billiger und Ti auch Marktführer bei anderen Geräten. Später gab es sogar eine Mikrocontrollerversion des TMS 1000, der ein ROM und RAM integrierte. Intel erweiterte den 4004 und brachte im Jahre 1972 eine verbesserte Version, den Intel 4040 heraus. Er verfügte über 14 weitere Befehle. Doch als auch damit nicht gegen Texas Instruments anzukommen war, wandte sich Intel anderen Geschäften zu und brachte zuerst den 8008 auf den Markt - ein auf 8 Bit erweitertes 4004 Design, das ebenfalls nicht sehr erfolgreich war. Es bot außer dem breiteren Bus wenige Vorteile gegenüber einem 4 Bit System und war für die einfachen Kontrollaufgaben in denen 4 Bit Chips eingesetzt wurden, zu aufwendig. Für einen universellen Computer im Sinne eines PC war es aber noch nicht leistungsfähig genug. Im Jahre 1974 folgte der 8080 Prozessor, der als Urahn der PC Prozessoren gilt.

Intel 8085

Intel 8085Der Intel 8080 steckte in fast jedem PC der zwischen 1975 und 1976 erschien. Intel sonnte sich in dem Gefühl eine neue Industrie geschaffen zu haben, obgleich die Firma eigentlich nichts dafür getan hatte - Andere hatten den Nutzen des 8080 Prozessors entdeckt. Doch bald endete diese Idylle. 1975 und 1976 erschienen zwei Prozessoren, die Intel vom Markt fegten.

Das eine war der MOS 6502. Er konnte viel preiswerter angeboten werden, weil er sehr viel einfacher als der 8080 Prozessor war. Überträgt man die Begriffe RISC und CISC auf die 8 Bit Prozessoren, so war der 6502 ein typisches RISC und der 8080 ein typisches CISC Design. Zwei der meistverkauftesten Computer verwandten den 6502 Prozessor: Der C-64 und der Apple II. Auch andere Heimcomputer im unteren Preissegment verwandten diesen Prozessor.

Mehr zu schaffen machte Intel der 1976 erschienene Z80 Prozessor von Zilog. Zilog war von Intel Mitarbeitern gegründet worden, die sich selbstständig gemacht hatten. Chef der Z80 Entwicklung war Federico Faggin. Bei Intel war Faggin am 8080 Entwurf beteiligt. Er kam aber spät in die Entwicklung und konnte so eigene Ideen nicht umsetzen. Dies tat er beim Z80. Der Z80 war softwarekompatibel zum 8080. Er führte also jedes Programm des 8080 ohne Neukompilierung aus. Er war aber besser. Für Hardwareentwickler bedeutsam war, das der Z80 anders als der 8080 keinen externen Taktgenerator Intel 8224 benötigte. Beim Einsatz der ab 1976 immer populären (weil billigeren) dynamischen RAM Speicher brauchte der Z80 nicht den Intel 8228 Refreshcontroller. Der Z80 vereinigte also zwei externe Chips, die man bei Intel dazu kaufen musste. Er bot weiterhin ein effizienteres Interruptsystem an und konnte auf 256 Interruptquellen reagieren. Mehr über den Intel 8085 in einem eigenen Artikel.

Für Programmierer wichtig war, dass man die Sonderstellung des HL Registers für die Adressierung aufgehoben hatte und diese auch über das DE und BC Register ermöglichte. Es gab drei neue Register: Das IR Register für Interrupts und Refresh, das IX und IY Register für indizierte Adressierung. Dazu kamen neue Befehle für Bitoperationen, Ein/Ausgabe und indizierte Adressierung.

Damit setzte sich der Z80 schnell durch. Er wurde sowohl in kleineren Maschinen wie den Heimcomputern von Sinclair und Amstrad eingesetzt, wie auch in größeren Rechnern, die dann meist unter dem Betriebssystem CP/M liefern - Dem Betriebssystem dessen Clone als MS-DOS so erfolgreich wurde. Intel war in neuen Designs fast nicht mehr vertreten. Der Z80 ist heute noch der meistverkaufte Mikroprozessor aller Zeiten. Er wird mit Verbesserungen immer noch gefertigt, steckt heute aber eher in kleineren Geräten. Ein bekanntes Gerät das den Z80 lange nach seiner Blütezeit noch nutzte war z.B. der Gameboy.

Gleichzeitig mit dem Achtziger erschien der Intel 8085. Intel hatte zwar die Nachteile des 8080 erkannt und integrierte in den 8085 den Taktgenerator 8224 und Systemkontroller 8228. Damit zog er mit dem Z80 gleich. Doch es unterblieb die beim Zachtzigerfolgte Erweiterung des Befehlsvorrates und der internen Architektur. Lediglich einen weiteren Interruptmodus und drei Anweisungen zum Wechseln des Interruptmodi und für I/O Operationen gab es. Damit konnte man gegen den Z80 keinen Blumentopf gewinnen. Lediglich beim Militär war man erfolgreich, weil man eine spezielle Militärische Version fabrizierte, die gegen extreme Temperaturen und Strahlung unempfindlicher war. Die letzten Einsätze dieser Architektur gab es 1997: An Bord des Mars Rovers Sojourners.

Intel IApX 432

iAPX 432 / Intel 8800Nach den Erfahrungen beim 4004 und 8085 - Man war jedes Mal erster mit einem Design doch nicht erfolgreich auf dem Markt, wenn auch aus unterschiedlichen Gründen, plante Intel einen Riesensprung für die Zukunft: Von 8 Bit gleich auf 32 Bit. Man begann im Jahre 1975 mit den Arbeiten für einen 32 Bit Prozessor, der selbst nach heutigen Maßstäben beeindruckend ist: Er sollte Multiprozessoroperationen unterstützen, in objektorientierten, höheren Programmiersprachen programmierbar sein, IEEE Fliesskommaoperationen in Hardware ausführen und über 1 Terabyte virtuellen Speicher adressieren. Dies sollte der Intel 8800 Prozessor werden.

Doch einen so komplexen Chip entwickelt man nicht über Nacht. Nachdem Motorola den 68000 entwickelte und dieser ein sehr leistungsfähiger 16 Bit Mikroprozessor mit einigen Fähigkeiten eines 32 Bitters werden würde, galt es die Kunden an der Stange zu halten. Neben dem Loblied auf die überlegenen Fähigkeiten des 8800 wurde ein Lückenbüßer eingeschoben: Der Intel 8086. Er entstand aus dem 8080 Design in 3 Wochen und lehnte sich sehr an diesen an. So konnte man 8080 Assembler Programme über einen Übersetzer einfach in 8086 Programme umsetzen und den 1 MByte Adressraum konnte man nur über 64 KByte große Segmente zugreifen.

Mit diesem Prozessor wollte man die Kunden bei Intel halten. Nach dem Motto: Entwickele jetzt für den 8086 und du kannst später leicht auf den 8800 umsteigen. (Eine glatte Lüge, denn die Befehlssätze waren völlig unterschiedlich). Als der Motorola MC 68000 im Jahre 1979, ein Jahr nach dem 8086 erschien, war er nicht nur ein besseres Design mit mehr und universell verwendbaren Registern, 16 MB unsegmentierten Speicher. Er war auch 2-3 mal schneller als ein 8086. Intel wollte dies durch einen Fliesskommaprozessor 8087 ausgleichen, denn man aber erst 1982 liefern konnte. Dafür kündigte man im Jahre 1981 den 8800 offiziell an. Inzwischen wurde der Name auf IAPX 432 geändert.

Die CPU war auf 2 Chips verteilt, so komplex war sie. Zwei Jahre später kamen der I/O Prozessor und die MMU hinzu, so dass der IApX 432 aus 4 Prozessoren bestand. Obgleich Intel die Versprechungen alle einlösen konnte, war dem Prozessor kein Erfolg beschieden. Der Grund: er war einfach zu langsam. Bedingt durch die hohe Abstraktion auf Hochsprachenebene und die Aufteilung der CPU auf 4 einzelne Chips war ein 432 Prozessor langsamer als ein Motorola 68000 oder Intel 80286. In einigen Benchmarks sogar bis zu 5-10 fach langsamer. Seine durchschnittliche Geschwindigkeit betrug nur 2 MIPS. Er war einfach ein zu komplexes Design und gilt heute als einer klassischen CISC Vertreter. Mehr über den iAPX 432 in einem eigenen Artikel.

Intel 80860

Intel 80860War die 8086 Linie nur als Lückenbüßer gedacht, so war sie durch die Wahl von IBM plötzlich zum Industriestandard geworden. Das war für Intel problematisch, denn die 8086 Architektur war doch schwer zu erweitern. Natürlich gab es die Nachfolger 80286 und 80386. Doch Intel wurde nicht müde die Welt davon zu überzeugen, dass es bessere Prozessoren gäbe - und diese sogar von Intel!

Im Jahre 1988 wurde die Intel 860 CPU angekündigt, als "Cray on a Chip". Die Intel 80860 war eine RISC CPU mit je 32 Integerregistern (je 32 Bit breit) und 32 Fliesskommaregistern (je 64 Bit breit). Sie adressierte 4 GB Speicher. Angeblich leistete die CPU bei einer Taktgeschwindigkeit von 33 MHz glatte 66 MFlops, war damit 6 - 12 mal schneller als andere Prozessoren dieser Zeit. Die Intel 80860 verfügte über mehrere Betriebsmodi. Im superskalaren Modus konnte sie neben einer Integer Operationen gleichzeitig eine Fliesskommaaddition und eine Fliesskommamultiplikation ausführen. In anderen Kombinationen war dies nicht möglich, so dass die durchschnittliche Leistung wesentlich näher bei der Konkurrenz war. Weiterhin verfügte Sie neben der Integer ALU und Fliesskomma Einheit auch über eine 3D Einheit, die Linien zeichnen, Gourand Shading und Z-Buffer Operationen ermöglichte.

Was der Intel 860 zu schaffen machte waren zwei Dinge. Zum einen brauchte man für die volle Leistung Assemblerkenntnisse, denn kein Compiler konnte zu dieser Zeit die Operatoren so geschickt anordnen wie es nötig wäre um die Recheneinheit der 80860 CPU zu beschäftigen. Die meisten Compiler erreichten nur 10 MFLOPs, anstatt der theoretischen 60. Damit war aber der Chip auch nicht mehr viel schneller als andere Prozessoren. Die eigentliche Konkurrenz kam aber aus dem eigenen Hause. Man hatte noch nicht einmal die Leistung des 80386 Prozessors ausgeschöpft. 1988 liefen die meisten Rechner noch mit DOS - Keine Rede von grafischen 32 Bit Betriebssystemen und 32 Bit Modus, der 4 GB Speicher adressiert. Für DOS reichte aber auch die Geschwindigkeit eines 80386. Denn wer jemals auf eine neuere Windows Version mit einem alten Rechner umgestiegen ist, weiß wie viel die neue Oberfläche an Performance frisst.

Die Leute waren glücklich mit ihren x86 Rechnern. Intel baute mit dem 860 einige Großcomputer, doch hier kam man nicht gegen die etablierte Konkurrenz von Cray, IBM, Sun und Co an. Nach 6 Jahren beendete Intel das Experiment und stellte die Produktion im Jahre 1994 ein und wechselte auf das ARM Design als RISC Core. Dieses steckt heute in vielen Windows PDA. Mehr über den i860 in einem eigenen Artikel.

Pentium Pro

Pentium Pro KernDer Pentium Prozessor führte Superskalare Features (das parallele Abarbeiten mehrerer Befehle) in die x86 Architektur ein. Der Pentium Pro war noch superskalarer und verfügte über mehr RISC Elemente. Man hatte die Architektur des Pentiums auf mehr superskalare Einheiten erweitert - zwei Fliesskomma und zwei Integer Einheiten und die RISC Engine auf schnelle 32 Bit Verarbeitung getrimmt. Dazu trug eine 12 stufige Pipeline bei, die Befehle zwischenspeicherte und dekodierte während diese an die 4 Recheneinheiten weitergereicht wurden. Um die Geschwindigkeit weiter zu erhöhen wurde der 256 Kilobyte große L2 Cache direkt neben dem Prozessor auf demselben Die untergebracht, so konnte der Cache mit voller Taktfrequenz arbeiten, anstatt wie beim Pentium mit Halber oder einem Drittel der Taktfrequenz.

Nach dem Superskalaren Design des Pentium wurde nun auch der CPU Kern ersetzt. Die Befehle in kleinere elementare Einheiten zerlegt so genannte Micro-Ops), Die nun mehrere RISC Rechenwerke antreiben. So ist es möglich mehrere Befehle gleichzeitig zu verarbeiten, wenn diese nicht aufeinander aufbauen z.B. ein Befehl auf dem Ergebnis eines anderen. Damit das ganze effektiv geht, müssen Abhängigkeiten erkannt werden und bei Verzweigungen schon mal geahnt werden wie es weiter geht. Das alles machte eine ausgeklügelte Logik auf dem Chip notwendig, die aber bei dem Pentium Pro zu seiner 16 Bit Schwäche führte: Bei einer bestimmten Befehlskombination musste der Chip seine ganze Pipeline in der er schon dekodierte Befehle parat hatte verwerfen und neu aufbauen. Das verlangsamte den Prozessor sehr stark. Intel wusste davon. Doch schließlich war dies der 4.te 32 Bit Prozessor in der x86 Linie und 9 Jahren nach Einführung des ersten sollte nun auch das Consumer Windows 32 bittig werden.

Pentium Pro mit CacheVerhängnisvoll war jedoch, das wenige Monate vor dem Pentium Pro erschienene Windows 95, anders als Microsoft die angekündigt hatte, kein volles 32 Bit Betriebssystem war sondern sehr viele 16 Bit Altlasten mitführte. Der für 32 Bit optimierte Prozessor brach dabei in der Performance ein und war nur so schnell wie ein um ein Viertel langsamer getakteter Pentium. Dabei war der Prozessor durch den integrierten Cache sehr viel teurer. Nur unter Windows NT oder einem anderen 32 Bit Betriebssystem war die volle Leistung verfügbar. So konnte man den Prozessor zwar als Server Prozessor (mit Windows NT oder UNIX als Betriebssystem) verkaufen, aber nicht als PC Prozessor. Der lange Zeit weltgrößte Supercomputer ASC White basierte auf über 9000 Pentium Pro Prozessoren.

Als zweites Handicap zeigte sich bald, dass das Konzept den Cache auf dem Prozessor zu integrieren falsch war. Sehr viele Prozessoren hatten Defekte im Cache. Die Ausbeute sank, da mit dem Cache auch der Prozessor funktionsuntüchtig war. Schlimmer noch, anders als den Prozessortakt konnte man die Geschwindigkeit des Caches nur langsam steigern. Der Pentium Pro war jedoch der erste multiprozessortaugliche Prozessor von Intel, die damit erstmals in größerem Maße im Servermarkt mitspielen konnten. Man brachte es nur fertig über Jahre die Taktfrequenz von 150 auf 375 MHz zu steigern. Bald hatten Pentium und der Nachfolger Pentium II den Pentium pro in der Taktfrequenz überholt. Diese waren durch den externen Cache aber erheblich preiswerter als ein Pentium Pro.

Zwar war der Pentium Pro ein kommerzieller Misserfolg. Doch nicht seine Architektur. Diese wurde adaptiert und steckt in den Pentium II und III Prozessoren. Man trennte hier wieder Cache und Prozessor und konnte so den Takt auf 1200 MHz steigern. Sie bildet sogar die Grundlage für die nachfolgenden Mikroarchitekturen Core, Nehalem, Sandy Bridge und Haswell, ist also 2015 immer noch aktuell. Mehr über den Pentium Pro in einem eigenen Artikel.

Pentium 4

Der Pentium 4 ein Flop? Zumindest in Intels Augen. Nachdem Pentium Pro, Pentium II und III auf derselben Architektur basierten führte Intel beim Pentium eine neue ein, genannt "Netburst". Die Architektur war auf einen sehr hohen Takt gezüchtet worden. Heute würde man dazu "Singlethreadperformance" sagen, doch da es damals noch keine Mehrkernprozessoren gab, gab es auch diesen begriff nicht. Darin steckte die Erkenntnis, das mehr funktionelle Einheiten nur schwer mit Daten zu versorgen sind und die Leistungssteigerung der letzten Generationen die auf mehr internen Recheneinheiten beruhte, so nicht in dem Maße fortgeführt werden konnte.

Netbrust basierte auf einem hohen Takt. Dem ordnete sich alles unter. So hatten die Ganzzahleinheiten sogar den doppelten internen Takt konnten also nach einer Latenz zwei Ergebnisse pro Takt lieben. Die Fließkommaeinheiten hatte man halbiert, aber in der Geschwindigkeit erhöht und man hatte hinter den Befehlsdekoder einen Cache für schon kodierte Anweisungen geschaltet die so nicht erneut dekodiert werden mussten. das beschleunigte Programme die in diesen kleinen Cache passten enorm. Das zeigte sich z. B. bei der MP3-encodierung. Vor allem hatte man die Stufen der Pipeline stark erhöht von 12 auf 20 bei der ersten Generation, später sogar auf 31. Eine Pipeline ermöglicht die Verarbeitung von mehreren Befehlen in verschiedenen Stadien. Pro Takt wird ein Befehl in die Pipeline hineingesteckt und er durchläuft pro Takt eine stufe und ist spätestens nach 31 Stufen fertig (einfachere Befehle schon früher). Pipelines gab es schon im 80286 von den 3 Stufen wuchsen sie immer weiter an. Ein Problem das der Pentium 4 mit den langen Pipelines hatte war, das bei Sprüngen der Prozessor den richtigen Weg vorausahnen muss, ansonsten ist der Inhalt der Pipeline ungültig und es dauert im Extremfall 31 Takte bis der nächste Befehl abgearbeitet wurde. Das war vor allem bei der ersten Generation ein Problem, später verbesserte man diese Vorhersage.

Es gab zuerst Anfangsprobleme und man führte zuerst Versionen mit niedrigem Takt ein, doch bald waren diese gelöst und der Pentium steigerte den Takt innerhalb eines Jahres von 1,4 auf 3 GHz. Auf Vorführen zeigte Intel sogar übertaktete Exemplare mit 7-9 GHz. Netbrust wäre für einen Takt von bis zu 10 GHz ausgelegt verlautbarte die Firma. Doch nach 3 GHz geriet dies ins Stocken und nur einige Versionen der "Extreme Edition" erreichten einen Takt von 3,83 GHz und dann war Schluss. Was Intel nicht in den Griff bekam war die mit dem Takt immer weiter steigende Verlustleistung des Chips die schließlich ein Maß erreichte bei dem die Grenze erreicht war die Kühlsysteme in einem PC abführen konnten. Größere Kühlkörper und Lüfter waren nicht mehr unterzubringen bzw. hätten durch ihre Masse das Mainboard verbogen.

Schon bevor der Pentium 4 erschien hatte AMD mit dem Athlon die Performancekrone an sich gerissen und Weiterentwicklungen dieses Prozessors konnten an die Performance des Pentium 4 aufschließen, hatten aber eine viel geringere Verlustleistung. Das beruhte auf einem effizienteren Design der CPU aber auch Stromsparmechanismen. Intel musste reagieren, nachdem AMD immer mehr Marktanteile hatte. Die Lösung war der Pentium M. Aufgrund des hohen Stromverbrauchs hatte Intel die Pentium-Pro Architektur verbessert und setzte sie weiter für Mobilprozessoren ein. Sie hatte auch die Stromsparmechanismen integriert die wichtig waren um die Verlustleistung zu reduzieren. Die nächste Generation, die Core Mikroarchitektur basierte wieder auf dieser und konnte AMD zurückdrängen. Seitdem hat sich nicht viel geändert, aber Intel hat die Lehre verinnerlicht und seit 2007 als die Core Mikroarchitektur eingeführt wurde sukzessive den Stromverbrauch gesenkt, vor allem im Leerlauf, der heute der häufigste Betriebsmodus eines Rechners ist.

Itanium

ItaniumDer bislang letzte Versuch der Etablierung einer neuen Architektur ist die Itanium Linie, auch IA64 Architektur genannt. Es handelt sich um einen 64 Bit Prozessor. Er ist ausgelegt für größere Rechner wie Workstations oder Großrechner. Er verfügt über eine RISC Ausführungseinheit und den meisten Funktionseinheiten heutiger Prozessoren: 4 Integer, 2 FPU, 2 SIMD, 4 Multimedia 3 Sprung und 2 Ladeeinheiten. 6 Befehle können von diesen parallel bearbeitet werden. Damit dies effektiv geht, muss der Compiler Befehle zu 3 er Bündeln zusammenfassen. Ein Befehlswort besteht dann aus 3 Befehlen von je 41 Bit Breite und 5 Bits, welche Informationen über die Parallelität geben.

Klappt dies, so ist der Itanium sehr schnell. Enthalten die Befehle dagegen Daten oder sind sie nicht parallel ausführbar so sinkt die Performance. Da der Code sehr aufgebläht ist - Jedes Bündel ist mindestens 128 Bit lang - braucht der Prozessor einen großen Cache. (Es ist eine VLIW-Architektur). In der Praxis ist Itanium Code dreimal größer als Pentium 4 Code. Die Entwicklung vom Itanium 1 zum Itanium 3 brachte in vier Jahren daher nicht eine Veränderung der Architektur des Prozessors oder eine hohe Beschleunigung des Taktes. Stattdessen wurden die Caches immer weiter vergrößert. Von 512 KB auf 6 MB in der größten Version des Itanium. Eine Version mit 12 MV ist schon angekündigt. Viel stärker als bei anderen Prozessoren steigert der große Cache auch die Geschwindigkeit enorm, braucht aber auch enorm viele Transistoren. Ein Pentium 4 der neuesten Generation (Prescott Kern) hat z.B. 125 Millionen Transistoren. Dagegen verfügt der 4 MB Cache des mittleren Itanium 2 Prozessors alleine über 294.9 Millionen Transistoren.

So ist der Itanium Prozessor nie wirklich bezahlbar geworden, auch wenn er in der Performance Liga weit vorne mitspielt. Je nach Cache Größe und Taktgeschwindigkeit kostet ein Prozessor zwischen 1300 und 4300 USD - Preise für die man einen kompletten Pentium 4 oder Athlon XP Rechner bekommt. So konnte im zweiten Quartal 2004 nach 4 Jahren Marktpräsenz Intel gerade einmal 5000 Systeme verkaufen - Der gesamte Workstation Markt umfasste im gleichen Zeitraum 840.000 Systeme. Der Marktanteil liegt dabei also unter einem Prozent.

Von der Ablösung der 32 Bit Architektur durch die IA 64 Architektur will Intel nun nichts mehr wissen, und hat inzwischen sogar die AMD64 Erweiterung des Konkurrenten AMD lizenziert. Es ist zu vermuten, dass der Itanium ein ähnliches Schicksal wie Pentium Pro, Intel 860 und IAPx 432 haben wird - aber Intel kann sich solche Misserfolge dank der glänzenden Verkaufserfolge auf dem PC Markt erlauben. Für andere Firmen wäre schon einer dieser Flops existenzbedrohend. Mehr über den Itaniumprozessor in einem eigenen Artikel.

Intel und Microsoft

Die beiden Firmen sind heute die größten Hardware- und Softwareproduzenten. Beide sind groß durch den Erfolg des IBM PC und seiner Nachfolger geworden. Oftmals spricht man nur von "Wintel" und meint damit dass beide Firmen zusammen andere von diesem Markt abhalten wollen.

Dieser Vorwurf ist nicht unberechtigt, wie man immer wieder sieht, wenn Intel und Microsoft gemeinsam neue Produkte vorstellen. So gibt es z.B. seit dem Jahre 2001 eine Windows Version für den Itanium, die 64 bittig ist. Für die AMD64 Erweiterung wird es ein Windows erst ab Mitte 2005 geben - wenn auch Intel entsprechende Prozessoren vorstellt.

Andererseits hat zumindest Intel mehrmals versucht eine Hardwareplattform zu platzieren die besser als die der IA86 Architektur ist. Dies zeigen die Misserfolge, die ich versucht habe hier zusammenzufassen. Dass dies nicht immer gelang lag zum einen am Verbraucher, der nicht bereit war seinen alten PC gegen einen neuen einzutauschen ohne seine geliebten Programme wieder vorzufinden.

Es lag aber auch an Microsoft. Microsoft hat schon immer hinter der aktuellen Technologie hinterhergehinkt. Den Protected Mode des 80286 der 1984 zum ersten mal in PCs verbaut wurde, unterstützte es erstmals mit Windows 3.0 im Jahre 1990. Den 32 Bit Modus des 80386, der ab 1986 eingesetzt wurde, unterstützte es rudimentär ab 1995 (Windows 95) und vollständig erst 2001 mit Windows XP. Erweiterungen für Multimedia, wie MMX, SSE oder von AMD 3D-NOW werden heute weder vom Betriebssystem noch von vielen Anwendungsprogrammen unterstützt.

Man sollte nun meinen, dass dies erst recht Kunden zu alternativen Plattformen treibt, doch das Gegenteil ist der Fall. Nehmen wir das Beispiel 80860. Der Prozessor war 5-10 mal schneller als ein Intel 80386, doch als er 1988 erschien arbeiteten die PC Anwender noch unter DOS, weil Windows für Arbeitszwecke unbrauchbar war. Für DOS war jedoch schon ein 80386 Rechner ein Overkill. Von den 2 MB Speicher die damals ein Rechner hatte, konnte DOS nur 640 K Adressieren, die 32 Bit Erweiterungen nutzte es gar nicht.

Noch deutlicher war es beim Pentium Pro, der langsam war, weil die Pipeline aus dem Takt kam, wenn man 16 Bit Werte schrieb und gleich danach einen 8 Bit Wert desselben Registers abfragte. Diese Konstellation kam in vielen Windows Funktionen vor, die angeblich schon vollständig auf 32 Bit portiert waren, tatsächlich aber in 16 Bit Code vorlagen. Daher war der Pentium Pro unter dem 16 Bit Windows 95 langsamer als ein gleich schneller Pentium, dagegen unter dem 32 Bit Windows NT 3.51 viel schneller. Da die Benutzer aber lieber beim schicken Windows 95 blieben anstatt beim leistungsfähigeren Windows NT im Windows 3.0 Optik, war der Pentium Pro ein Flop.

Nicht umsonst achtet Intel seit einigen Jahren darauf, dass es neben Microsoft auch andere Alternativen für seine Chips gibt. So unterstützte man die Linux Entwicklung für den Itanium Prozessor. Solange Microsoft allerdings so marktdominant ist wird Intel sich von dieser Zwangsehe nicht lösen können.

Artikel erstellt: 2004, Artikel zuletzt geändert: 3.2.2022

Zum Thema Computer ist auch von mir ein Buch erschienen. "Computergeschichte(n)" beinhaltet, das was der Titel aussagt: einzelne Episoden aus der Frühzeit des PC. Es sind Episoden aus den Lebensläufen von Ed Roberts, Bill Gates, Steve Jobs, Stephen Wozniak, Gary Kildall, Adam Osborne, Jack Tramiel und Chuck Peddle und wie sie den PC schufen.

Das Buch wird abgerundet durch eine kurze Erklärung der Computertechnik vor dem PC, sowie einer Zusammenfassung was danach geschah, als die Claims abgesteckt waren. Ich habe versucht ein Buch zu schreiben, dass sie dahingehend von anderen Büchern abhebt, dass es nicht nur Geschichte erzählt sondern auch erklärt warum bestimmte Produkte erfolgreich waren, also auf die Technik eingeht.

Die 2014 erschienene zweite Auflage wurde aktualisiert und leicht erweitert. Die umfangreichste Änderung ist ein 60 Seiten starkes Kapitel über Seymour Cray und die von ihm entworfenen Supercomputer. Bedingt durch Preissenkungen bei Neuauflagen ist es mit 19,90 Euro trotz gestiegenem Umfang um 5 Euro billiger als die erste Auflage. Es ist auch als e-Book für 10,99 Euro erschienen.

Mehr über das Buch auf dieser eigenen Seite.

Hier geht's zur Gesamtübersicht meiner Bücher mit direkten Links zum BOD-Buchshop. Die Bücher sind aber auch direkt im Buchhandel bestellbar (da ich über sehr spezielle Themen schreibe, wird man sie wohl kaum in der Auslage finden) und sie sind natürlich in den gängigen Online-Plattformen wie Amazon, Libri, Buecher.de erhältlich.


© des Textes: Bernd Leitenberger. Jede Veröffentlichung dieses Textes im Ganzen oder in Auszügen darf nur mit Zustimmung des Urhebers erfolgen.
Sitemap Kontakt Impressum / Datenschutz Neues Hier werben / advertisment here Buchshop Bücher vom Autor Top 99